EEVblog #636 – FPGA Demo Boards – DE0 Nano

Published on March 30, 2017

Dave checks out several FPGA demo boards, and tries out the DE0 Nano and Altera Quartus II software.

Digilent Basys2: www.digilentinc.com/BASYS2
Papilio One: papilio.cc
NOTE: Yes, this video has some audio problems, sorry, it was in the original source files.

EEVblog Main Web Site:

EEVblog Amazon Store:

Donations:

Projects:

Electronics Info Wiki:

Tags:,

https://cafeadobro.ro/

https://www.stagebox.uk/wp-includes/depo10-bonus10/

depo 25 bonus 25

https://parfumschristianblanc.com/

Enjoyed this video?
"No Thanks. Please Close This Box!"